您的位置: 专家智库 > >

中国博士后科学基金(2013T60348)

作品数:3 被引量:5H指数:1
相关作者:黄玉刘志海赵恩铭王姣赵恩明更多>>
相关机构:哈尔滨工程大学更多>>
发文基金:中国博士后科学基金国家自然科学基金更多>>
相关领域:自动化与计算机技术电气工程更多>>

文献类型

  • 3篇中文期刊文章

领域

  • 2篇自动化与计算...
  • 1篇电气工程

主题

  • 2篇CPLD
  • 1篇单片
  • 1篇单片机
  • 1篇电路
  • 1篇调制
  • 1篇信号
  • 1篇信号发生
  • 1篇信号发生器
  • 1篇噪声
  • 1篇正交
  • 1篇正弦
  • 1篇正弦波
  • 1篇数字芯片
  • 1篇强噪声
  • 1篇位同步
  • 1篇芯片
  • 1篇解调电路
  • 1篇发生器
  • 1篇方波
  • 1篇FSK调制

机构

  • 3篇哈尔滨工程大...

作者

  • 3篇刘志海
  • 3篇黄玉
  • 2篇王姣
  • 2篇赵恩铭
  • 1篇赵恩明

传媒

  • 3篇物理实验

年份

  • 2篇2014
  • 1篇2013
3 条 记 录,以下是 1-3
排序方式:
强噪声下三轴磁力计正交及增益误差校正及其测量实验被引量:1
2013年
提出了适用于强测量噪声情况下的三轴磁力计正交及增益误差建模及校正方法,详细推导了磁力计测量误差模型,给出了误差参量辨识及校正算法,仿真证明了在强噪声情况下算法仍具有良好的收敛性,给出了不同信噪比条件下误差校正精度与采样点个数的关系,证实了算法能应用在磁力计测量噪声较强场合,并将其实现地磁场强度测量实验,获得了令人满意的结果.
武立华黄玉赵恩明刘志海
基于CPLD的正弦波/方波互换电路及实验被引量:3
2014年
针对信号发生芯片组成的信号发生器波形单一,幅值、频率等参量不便调节,且信号峰峰值不能满足磁通门的激励信号对峰峰值的要求的缺点,采用数字芯片CPLD为核心元件构建大信号幅值的正弦波和方波互换电路,以产生幅值、频率等参量可调的正弦波或方波信号.设计了基于CPLD的正弦波和方波转换电路,进行了CPLD相关功能模块的程序设计与实验测试,实验结果验证了转换电路的功能.
武立华黄玉王姣赵恩铭刘志海
关键词:信号发生器正弦波方波
基于CPLD的FSK调制解调电路及其测试被引量:1
2014年
根据数字式FSK调制和解调的工作原理,对比分析了基于CPLD和单片机的2种电路实现方案.设计了基于CPLD的FSK调制解调模块,利用QuartusⅡ开发平台对CPLD进行编程,实现了FSK调制与解调功能,用IAR FOR AVR平台对单片机ATMEGA16进行编程,实现了位同步功能,同时与外部用SPI接口进行通信.搭建了实际的硬件电路,仿真与实验测试均验证了设计的正确性.
武立华黄玉王姣赵恩铭刘志海
关键词:单片机位同步
共1页<1>
聚类工具0