您的位置: 专家智库 > >

国家自然科学基金(60827005)

作品数:10 被引量:35H指数:4
相关作者:陈晓冬郁道银李明汪毅李妍更多>>
相关机构:天津大学教育部更多>>
发文基金:国家自然科学基金国家高技术研究发展计划更多>>
相关领域:医药卫生机械工程自动化与计算机技术理学更多>>

文献类型

  • 10篇期刊文章
  • 2篇会议论文

领域

  • 6篇医药卫生
  • 2篇机械工程
  • 2篇自动化与计算...
  • 2篇理学

主题

  • 11篇超声
  • 5篇医学超声
  • 5篇内窥镜
  • 5篇窥镜
  • 5篇合成孔径
  • 3篇超声内窥镜
  • 2篇信号
  • 2篇声成像
  • 2篇探头
  • 2篇相位
  • 2篇相位补偿
  • 2篇鲁棒
  • 2篇脉冲
  • 2篇脉冲压缩
  • 2篇互相关
  • 2篇换能器
  • 2篇超声成像
  • 2篇超声探头
  • 2篇成像
  • 1篇动态时间规整

机构

  • 12篇天津大学
  • 2篇教育部

作者

  • 11篇陈晓冬
  • 10篇郁道银
  • 5篇汪毅
  • 5篇李明
  • 4篇李妍
  • 3篇温世杰
  • 3篇李明
  • 2篇李伟锋
  • 2篇周浩
  • 1篇张红旭
  • 1篇马宁
  • 1篇付永强
  • 1篇李明
  • 1篇李明
  • 1篇鲍静
  • 1篇李亚楠
  • 1篇俞锋
  • 1篇刘亚莲
  • 1篇尹青云
  • 1篇郝云霞

传媒

  • 4篇纳米技术与精...
  • 3篇中国激光
  • 1篇光电子.激光
  • 1篇声学学报
  • 1篇计算机工程与...

年份

  • 1篇2014
  • 1篇2012
  • 3篇2011
  • 3篇2010
  • 4篇2009
10 条 记 录,以下是 1-10
排序方式:
面向医学内窥诊断的数字超声多普勒成像系统
2010年
研究基于现场可编程门阵列(FPGA)的超声多普勒内窥成像系统,针对内窥系统超声探头体积小、回波信号微弱的特点,设计了具有较高增益和较低噪声的超声信号前端接收电路.在FPGA中对微弱信号进行全数字化处理,实现了正交解调与频谱分析等功能,系统具有电路匹配性好、信噪比高、处理速度快及体积小等优势;搭建基于多普勒物理模型的实验平台进行实验验证,分析对比不同实验条件下的声谱,验证了系统及信号处理方法的合理性和正确性.
李伟锋刘亚莲陈晓冬李明李明
关键词:超声内窥镜微弱信号脉冲多普勒正交解调频谱分析
用于医学超声内窥成像的编码激励技术被引量:1
2009年
为了提高医学超声内窥系统中图像的信噪比,将编码激励技术引入超声成像系统.仿真研究了编码长度和换能器相对带宽对成像信噪比(SNR)提升的影响.发现在采用长度较短的2-5位Barker编码激励时,成像信噪比的提升在编码长度为4位时达到峰值,且信噪比的提升随换能器相对带宽的增加而增加.基于超声内窥成像系统的编码激励实验表明,在峰值激励电压为25 V和换能器相对带宽为20%的情况下,采用编码激励技术能够获得1.85 dB的成像信噪比提升.
陈晓冬周浩李明温世杰郁道银
关键词:超声成像信噪比换能器
超声内窥数字成像技术被引量:13
2009年
超声内窥镜系统将微型超声探头通过电子内窥镜的活检通道插入消化道器官,既可通过内窥镜直接观察粘膜表面的病变形态,又可进行超声扫描成像,获得消化器官管壁各个断层的组织学特征。利用编码激励技术,以4位Barker编码的方式激励换能器,在不增加峰值负声压的前提下,显著提高超声换能器的发射功率,增大系统探测深度以及成像的信噪比;用数字下变频技术实现超声回波的中频采样、编码信号的脉冲压缩以及信号包络的提取;采用装有超声耦合液的玻璃烧杯作为实验样品,对其进行旋转扫描,得到256级灰度的超声图像,轴向分辨率达0.4 mm,验证了系统原理的正确性。
陈晓冬李明俞锋李伟锋郁道银
关键词:超声成像内窥镜超声探头数字下变频
基于相位补偿的改进内窥超声合成孔径方法
提出一种基于相位补偿的改进内窥超声合成孔径方法,校正因超声波衰减造成的回波相位失真,提高系统的分辨率。首先,分析了超声回波在人体内部传播过程中产生的纵向与横向的衰减,得到回波衰减与超声波中心频率及合成孔径系统横向分辨率的...
李明陈晓冬李妍郝云霞汪毅郁道银
关键词:合成孔径相位补偿互相关
文献传递
面向医学超声内窥成像的合成孔径算法
本文提出一种用于超声内窥成像的合成孔径算法,利用单换能器探头的旋转效应,在旋转的不同时刻与位置发射并接收超声回波,等效合成较大的超声发射孔径,以增强超声内窥图像的信噪比与分辨率。本文分析了单探头合成孔径技术的原理与合成方...
李明郁道银李妍汪毅陈晓冬
关键词:合成孔径脉冲压缩鲁棒性
文献传递
超声内窥合成孔径成像技术的研究被引量:6
2010年
将广泛应用于雷达系统的合成孔径技术引入超声内窥成像系统,提出一种基于单换能器探头的超声内窥镜合成孔径成像方法。利用单换能器探头的旋转效应,在旋转的不同时刻与位置发射并接收超声回波,等效合成较大的超声发射孔径,以增强超声图像的信噪比与分辨率。分析了单探头合成孔径技术的原理与合成方法,并在此基础上根据超声回波的编码与线性调频特性,完成纵向与横向的脉冲压缩。最后采用中心频率为8 MHz的换能器对猪皮样本进行探测,可分辨出尺寸为0.8 mm×2 mm的目标,系统的信噪比提升了9.38 dB。
郁道银李明李妍汪毅陈晓冬
关键词:超声内窥镜合成孔径脉冲压缩匹配滤波器
面向医学超声图像坐标变换的CORDIC算法优化被引量:1
2011年
本文提出一种用于医学超声内窥成像坐标变换的改进坐标标定的CORDIC(MCC-CORDIC)算法.在传统CORDIC算法的基础上,重新标定直角坐标,同时通过简单的数学转换将坐标位置映射到第1象限后进行坐标变换,减少FPGA资源使用量的同时解决了算法在[0,360°]范围内收敛角度范围不足的问题;通过对数据位宽、模校正方法的优化,提高了算法的精度;基于流水线结构的硬件实现使算法满足超声实时成像的要求.经仿真与超声实时成像实验验证,极角误差由0.006 3 rad减小到0.000 5 rad,极径误差由0.082减小到0.03.
郁道银李妍李明李明汪毅
关键词:医学超声图像
内窥镜自动定位语音识别系统被引量:2
2014年
提出一种基于特定人的内窥镜自动定位语音识别系统,通过识别特定医生的语音控制口令实现内窥镜的定位,为手持内窥镜操作提供更加智能化的解决方案。在识别算法上提出了参考模板归一化平均的动态时间规划(Normalized Average-Dynamic Time Warping,NA-DTW)算法,可获得更高的识别率,系统以片上Windows CE操作系统和ARM作为系统的软硬件平台。实验通过对10个不同测试人的共1 250组测试数据进行识别检测,NA-DTW算法与传统DTW算法相比,识别率从96.6%提高到99.76%,运算时间从469 ms缩短到241 ms。验证了NA-DTW算法可以完成基于特定人、孤立词的语音识别功能,并满足嵌入式系统中的实时检测条件。
马宁陈晓冬李亚楠尹青云汪毅郁道银
关键词:内窥镜动态时间规整特定人嵌入式系统
医学超声内窥成像系统的数字增益补偿被引量:10
2009年
为了补偿医学超声内窥镜中超声信号的传输衰减,设计了由AD8065、AD8331和现场可编程门阵列(FPGA)组成的前置放大与增益补偿电路.电路采用AD8065实现超声换能器与增益补偿电路间的阻抗变换,然后,根据超声信号在人体组织中的衰减规律,由FPGA输出补偿控制电压,控制AD8331实现对回波信号的补偿放大.对实际超声回波进行的补偿实验表明,该电路能够有效地补偿超声信号的传输衰减,而且,利用FPGA的可编程性,该电路可根据不同的衰减曲线进行灵活配置.
陈晓冬付永强温世杰鲍静张红旭郁道银
关键词:微型超声探头现场可编程门阵列
编码激励技术在医学超声内窥镜中的实现被引量:7
2009年
为了提高医学超声内窥镜系统的探测深度和成像质量,将广泛应用于雷达系统的编码激励技术引入到超声成像系统中,采用编码脉冲信号激励超声换能器,以增加超声发射功率,提高回波信号信噪比。在分析编码激励原理和超声换能器暂态工作特性的基础上,设计并实现了超声信号的4 bit Barker编码脉冲发射。实验中,激发电路输出的编码激励信号与超声换能器的暂态工作特性吻合;玻璃杯壁的反射超声回波信号,具备编码特征,与Matlab仿真结果相同,且幅度较强。
陈晓冬温世杰周浩郁道银
关键词:超声换能器超声内窥镜发射电路
共2页<12>
聚类工具0