您的位置: 专家智库 > >

天津市自然科学基金(12JCZDJC20500)

作品数:9 被引量:47H指数:5
相关作者:赵毅强刘长龙冯紫竹史亚峰何家骥更多>>
相关机构:天津大学中国电子科技集团第五十四研究所天津科技大学更多>>
发文基金:天津市自然科学基金国家自然科学基金国家科技重大专项更多>>
相关领域:电子电信自动化与计算机技术更多>>

文献类型

  • 9篇期刊文章
  • 2篇学位论文

领域

  • 7篇电子电信
  • 5篇自动化与计算...

主题

  • 3篇电路
  • 3篇信道
  • 3篇信道分析
  • 3篇主成分
  • 3篇主成分分析
  • 3篇木马
  • 3篇木马检测
  • 2篇集成电路
  • 1篇电磁辐射
  • 1篇电路建模
  • 1篇信号
  • 1篇信息技术
  • 1篇信息系统
  • 1篇硬件
  • 1篇有效期
  • 1篇支持向量
  • 1篇支持向量机
  • 1篇设计实现
  • 1篇通信
  • 1篇通信芯片

机构

  • 11篇天津大学
  • 2篇中国电子科技...
  • 1篇天津科技大学

作者

  • 9篇赵毅强
  • 4篇史亚峰
  • 4篇冯紫竹
  • 4篇何家骥
  • 4篇刘长龙
  • 2篇杨松
  • 1篇苏静
  • 1篇李旭
  • 1篇孙晨
  • 1篇苏静
  • 1篇刘强
  • 1篇郑爱林

传媒

  • 4篇计算机工程
  • 3篇华中科技大学...
  • 1篇微电子学与计...
  • 1篇计算机与现代...

年份

  • 1篇2019
  • 1篇2017
  • 1篇2016
  • 3篇2015
  • 2篇2014
  • 3篇2013
9 条 记 录,以下是 1-10
排序方式:
基于侧信道分析的硬件木马建模与优化被引量:13
2013年
针对集成电路中的硬件木马问题,开展了基于侧信道分析的硬件木马电路功耗模型的设计和优化工作.在完成建模的基础上,分析了木马电路对模型参数的影响.针对木马检测中的工艺偏差噪声问题,提出了一种基于主成分分析的模型优化算法.该方法利用协方差矩阵完成数据的投影变换,从而减小工艺偏差噪声对测试的影响.经仿真验证表明建立的模型与实验得到的数据基本符合.通过对测试电路进行蒙特卡罗分析,完成了工艺偏差噪声的仿真,同时验证了模型优化算法的有效性.
刘长龙赵毅强史亚峰冯紫竹
关键词:电路建模主成分分析蒙特卡罗分析
基于分类决策的侧信道硬件木马检测方法研究
随着网络和信息技术的迅速发展,信息安全需求不断提高,信息安全日益受到人们的关注和重视。硬件木马相对于传统的软件恶意代码更加危险,其位于系统核心层,具有很强的隐蔽性,集成电路特别是安全芯片目前的主要危害来自硬件木马。同时随...
苏静
关键词:支持向量机
文献传递
基于高层次安全模型的硬件木马检测关键技术研究
硬件木马是指在集成电路设计或制造过程中恶意植入的电路结构,一旦芯片制造完成,硬件木马将长久存在。硬件木马具有极大的危害,其恶意功能包括但不限于信息泄露、功能篡改以及降低性能等。由于硬件木马种类繁多,植入环节多样,表现特征...
何家骥
关键词:集成电路电磁辐射
一种基于时序型硬件木马的IP版权保护结构被引量:1
2014年
随着IP核在SoC设计中的大量使用,其版权问题得到广泛关注,通过植入硬件木马实现IP核的版权保护是一个新的研究方向。针对其中植入木马激活时间短的问题,提出一种改进结构,使IP核评估版本满足有效期长度的要求。将时序型硬件木马植入原始电路状态机的冗余状态中,选取电路中部分节点构成的序列作为木马状态的转移条件,木马激活后影响电路的正常功能,使IP核的使用受到限制。仿真结果表明,通过合理调整状态数量及序列长度,能够在优化电路面积的同时有效延长木马的激活时间,当状态数为3、序列长度为4时,该方法能够在电路面积减少0.123%的基础上使激活时间提高约120倍。
冯紫竹赵毅强刘长龙
关键词:IP核有效期状态机
一种AES算法的ASIC设计实现被引量:1
2013年
为保证信息系统的安全性,基于现代集成电路设计方法,采用Chartered 0.35um CMOS工艺完成一款基于AES算法的密码芯片的ASIC设计。设计中首先完成了芯片的架构设计和模块划分,然后使用Verilog HDL完成了AES算法的描述。功能仿真结果表明该设计的加解密功能完全正确。最后使用Synopsys公司的Astro完成了芯片的物理设计。
史亚峰赵毅强
关键词:信息系统AES算法物理设计
基于主成分分析的硬件木马检测方法被引量:10
2015年
针对侧信道检测方法检出率不高的问题,提出一种基于主成分分析结合马氏距离的检测方法.通过对芯片功耗进行建模分析,首先采用主成分分析法对旁路信息中的微小差异进行放大提取,获取主特征,然后使用马氏距离进行判别区分,识别硬件木马.基于自主设计的FPGA(field-programmable gate array)检测平台进行实验验证,结果表明:采用基于主成分分析结合马氏距离的硬件木马检测方法可以有效检测出占母本电路面积0.6%左右的硬件木马.
赵毅强杨松何家骥郑爱林
关键词:信息技术主成分分析
集成电路中硬件木马防御技术研究被引量:5
2016年
随着集成电路(IC)设计、制造、测试等环节相分离的趋势进一步增强,使得IC中被植入硬件木马的可能性增大。介绍硬件木马概念及危害,研究硬件木马防御技术,并从硬件木马检测和集成电路安全性设计2个方面进行阐述,分析硬件木马检测中的旁路分析技术、集成电路安全性设计中的电路增强设计技术。分析结果表明,为最大限度地保障集成电路的安全,设计者在电路设计时需考虑到电路的安全性问题,同时在芯片加工完成后开展硬件木马的检测工作。
赵毅强何家骥杨松刘沈丰
关键词:集成电路防御技术安全性设计
基于相关性分析的硬件木马检测方法被引量:9
2013年
为提高硬件木马检测的准确率,提出一种基于相关性分析的检测方法。在完成木马功耗建模的基础上,提出并分析利用经典相关系数进行木马检测的可行性以及存在的缺点,根据木马检测的特点,优化检测系数,给出利用区间重叠比作为木马判定依据的检测方法。实验结果表明,与采用经典相关系数的方法相比,该方法在降低约6%检测准确率的前提下,能使鲁棒性提高1倍以上。
刘长龙赵毅强史亚峰冯紫竹
关键词:功耗分析木马检测
一种基于缩短激活时间的硬件木马检测方法被引量:2
2014年
通过对硬件木马的激活过程进行建模,分析了影响激活时间的主要因素,提出一种在集成电路设计阶段插入木马检测专用模块(MFTD)的方法,即在电路中翻转概率低的节点处插入特殊结构的门单元,使电路中所有节点翻转概率都大于特定值.基于ISCAS′89基准电路s386的仿真结果表明:通过合理设定电路中节点的翻转阈值,该方法能够在检测阶段有效增加电路的开关频率,缩短木马的激活时间,提高检测效率,同时使面积开销较小.
赵毅强冯紫竹史亚峰刘长龙
关键词:木马检测开关频率
宽带通信芯片中级联积分梳状插值滤波器的优化设计被引量:5
2015年
级联积分梳状(CIC)滤波器由于其结构简单、高效等优点,经常作为宽带通信芯片中的抽取器或插值器。随着通信系统以及超大规模集成电路的发展,芯片集成密度越来越高,需要对芯片中关键模块积分梳状滤波器进行面积的优化。为此,设计一种应用于无线宽带射频芯片的CIC插值滤波器。通过位宽优化方法减少滤波器内部节点位宽,并在增益校正部分采用输出截位后的正则有符号数字量编码乘法代替全位宽二进制补码乘法。实验结果表明,与优化前的CIC插值滤波器相比,该滤波器的电路面积可优化58%左右。
孙晨赵毅强刘强李旭
关键词:面积优化
共2页<12>
聚类工具0