您的位置: 专家智库 > >

安徽省科技攻关计划(11010202190)

作品数:10 被引量:47H指数:5
相关作者:梁华国欧阳一鸣黄正峰谢涛张一栋更多>>
相关机构:合肥工业大学圣地亚哥州立大学更多>>
发文基金:国家自然科学基金安徽省科技攻关计划安徽高校省级自然科学研究基金更多>>
相关领域:自动化与计算机技术更多>>

文献类型

  • 10篇中文期刊文章

领域

  • 10篇自动化与计算...

主题

  • 7篇容错
  • 4篇路由
  • 3篇路由器
  • 3篇路由器设计
  • 3篇TSV
  • 3篇NOC
  • 2篇拥塞
  • 2篇永久故障
  • 2篇通信
  • 2篇网络
  • 1篇动态优先级
  • 1篇虚通道
  • 1篇异构
  • 1篇拥塞感知
  • 1篇容错策略
  • 1篇容错方法
  • 1篇容错路由
  • 1篇容错设计
  • 1篇冗余
  • 1篇弱化

机构

  • 10篇合肥工业大学
  • 1篇圣地亚哥州立...

作者

  • 10篇欧阳一鸣
  • 10篇梁华国
  • 9篇黄正峰
  • 3篇谢涛
  • 2篇张一栋
  • 2篇刘军
  • 1篇何敏
  • 1篇常郝
  • 1篇袁吴铃
  • 1篇黄贵林
  • 1篇杨懿泽
  • 1篇韩倩倩
  • 1篇王宇
  • 1篇汪秀敏
  • 1篇高妍妍
  • 1篇贺超

传媒

  • 5篇电子测量与仪...
  • 2篇电子学报
  • 2篇计算机辅助设...
  • 1篇计算机研究与...

年份

  • 4篇2014
  • 6篇2013
10 条 记 录,以下是 1-10
排序方式:
NoC架构下异构IP核的并行测试方法被引量:8
2013年
NoC(Network-on-Chip)中的异构IP核互连架构导致其测试应用时间过长,并行测试技术成为解决这个问题的最佳方案.本文提出了基于NoC架构的异构IP核并行测试方案,实现了异构IP核的高效并行测试.首先利用折叠分区方法将网络分区,提高测试传输并行性,然后通过顺序移位匹配算法将各异构IP核的测试集进行合并,实现测试集的精简和同构化,最后以多播方式将测试数据注入到网络中,实现测试应用的并行.实验结果显示,相比于文献[13,14],本文方法的测试时间减少了17.6%-40.47%,且实现简单.
欧阳一鸣贺超梁华国黄正峰谢涛
关键词:片上网络并行测试同构化
基于动态优先级的3D NoC偏转路由容错方法被引量:3
2014年
若3DNoC中水平和垂直方向出现不可恢复的链路故障,将严重影响整个网络的性能.为此提出一种新型的高效率、低开销的容错方法——基于动态优先级的偏转路由方法.该方法根据目的节点和当前节点的相对位置,动态地设定输出端口优先级,以确保数据包始终选择最优路径传输;为了提高层内和层间数据包传输的速度,采用了两级交叉开关结构.使用Booksim 2.0和Orion 2.0工具与其他方法的实验结果表明,文中方法的网络平均包延时最大降幅达20%,且面积不随网络规模增大而增加,提高了片上网络整体性能.
欧阳一鸣欧阳小叶梁华国黄正峰刘军
关键词:NOC永久故障容错
面向非全互连3D NoC可靠通信的分布式路由算法被引量:11
2014年
针对非全互连三维片上网络架构中存在的硅通孔(TSV)寻找困难和可能产生层间IP核隔离等问题,提出一种分布式容错路由算法.通过在每个路由器中添加TSV上/下表,可使层间通信数据包在发送前找出最优TSV的地址,保证层间IP核的有效通信和数据包的最短路径传输.若数据包到达非目的层,则在TSV上/下表中找出最优TSV的地址后继续传输;若是到达目的层,则使用文中提出的平面容错路由算法找到目的节点.实验结果表明,在均匀流量模式下网络故障率达到25%时,该算法仍与无网络故障的传统XYZ算法性能相近,且在网络无故障时的延时及吞吐率均优于参考对象.
欧阳一鸣韩倩倩梁华国黄正峰汪秀敏
关键词:可靠通信容错路由
三维片上网络故障及拥塞感知的容错路由器设计被引量:15
2013年
三维片上网络中路由器的输入端口和交叉开关出现故障,将严重影响整个网络的性能,因此文章提出了一种故障及拥塞感知的容错路由器.通过增加一个冗余的输入端口和旁路总线,不仅能实现对输入端口和交叉开关容错的目的,而且还能在没有端口故障的情况下使用冗余端口有效地解决拥塞问题.实验表明此容错机制能够使得网络在故障路由器多、拥塞严重的情况下,仍然保持良好的性能.
欧阳一鸣张一栋梁华国黄正峰
关键词:拥塞
基于虚通道故障粒度划分的3D NoC容错路由器设计被引量:8
2014年
深亚微米工艺下,路由器受制于制造缺陷及运行时的脆弱性,易发生虚通道(virtual channel,VC)永久性故障,从而引起通信故障,影响系统功能和性能.为了能够有效地容忍虚通道故障、保证系统性能及充分利用可用资源,将虚通道故障类型细分为粗粒度故障和细粒度故障,提出SVS(single VC sharing)路由器架构,通过将路由器端口两两分组,组内端口间实现单虚通道共享.当发生虚通道粗粒度故障时,使用组内相邻端口共享虚通道容错.当发生细粒度故障时,根据Slot State Table信息配置虚通道读/写指针的值,从而跳过故障Buffer槽实现容错.在无粗粒度故障情况下,共享虚通道还可用于负载平衡及容忍路由计算模块故障.实验结果表明:较其他已有的虚通道路由器,SVS路由器在3种不同的故障情况下均较大地降低了延时,提高了吞吐量.这表明SVS路由器可有效提高系统可靠性,保证了系统性能,充分利用了可用资源.
欧阳一鸣张一栋梁华国黄正峰常郝
利用少数相关位的SoC测试数据压缩方法被引量:6
2013年
随着系统芯片集成度的提高,芯片所需要的测试数据也越来越庞大,为解决由此带来的自动测试设备(ATE)存储容量和带宽之间的矛盾,提出了一种基于数据块之间极少数相同位或极少数不同位的测试数据压缩及解压算法。根据数据块之间这些极少数相同位或极少数不同位,低频次数据块与参与Huffman编码的高频次数据块取得相关性联系,并通过一定的方式共享其较短的哈夫曼码字,从而精简Huffman编码状态表,达到测试数据压缩的目的。与同类经典方案相比,实验表明该方案的平均压缩率提高了6.11%-22.89%,且算法简单。
欧阳一鸣黄贵林梁华国谢涛黄正峰
关键词:哈夫曼测试数据压缩
3D NoC中柔性可配置的高可靠路由器设计被引量:2
2014年
三维片上网络中路由器发生故障及拥塞等可靠性问题,会影响整个网络性能。因此针对路由器输入缓存的故障和拥塞问题,提出一种柔性(flexible)可配置的高可靠路由器架构。每条输入链路和2个相邻的输入缓存相连。通过建模,根据具体的故障和拥塞情况,选择合适的输入缓存路径,实现部分缓存的共享。不仅能达到路由器故障的容错目的,还能在网络重负载的情况下有效的解决网络拥塞问题。实验结果表明,方案相较于传统路由器方案,在一般传输模式和0.5 filts/node/cycle的注入率下,无故障时平均时延下降了81.89%,2个数据分配器故障时平均时延下降了87.38%。在网络出现故障和拥塞时,方案具有明显的优势,很好的保证了整个网络的高可靠性以及低时延。
欧阳一鸣何敏梁华国刘军高妍妍
关键词:可靠性拥塞容错
三维片上网络TSV复用容错策略被引量:5
2013年
三维片上网络结合了垂直互连技术所带来的优势和片上网络所具有的可扩展性的优点,大大提高了系统的性能,降低了功耗。但目前的制造工艺使得用于垂直互连的硅通孔(TSV)的产品良率仍然较低,严重影响三维片上网络系统通信的可靠性。以往处理TSV硬故障一般是通过添加一定数目的冗余链路来达到容错的目的,但这种方法会带来较大的面积和功耗的开销,并且只能处理数量有限的TSV故障。不添加冗余链路,通过对故障链路中功能良好TSV的复用,将数据微片多次传输,达到容TSV硬故障的目的。通过添加ECC编码解码模块来达到容瞬时故障的目的。实验分析表明,该设计方案在保证系统可靠性的基础上还具有较高的吞吐量与较低的延时。
欧阳一鸣杨懿泽梁华国黄正峰
关键词:永久故障瞬时故障
3D NoC的冗余双向TSV容错设计被引量:5
2013年
3D NoC(Network-on-Chip)中,若连接层间相邻路由器的两组单向TSV(Through-Silicon Via)中有1组故障,数据便不能经该通道传输。为实现容错,提出一种在基于簇的3D NoC中添加冗余双向TSV的设计。任何1组单向TSV故障,都可通过配置这组双向TSV来替换,实现容错。在无故障TSV时,也可配置这组双向TSV来帮助传输数据包,实现数据的高速传输。与参考文献相比,实验表明,有TSV故障时该设计的平均延时至少减少了43.8%,且提高了系统可靠性。
欧阳一鸣袁吴铃梁华国谢涛黄正峰
关键词:NOC容错冗余
簇式三维片上网络通信压力弱化策略被引量:1
2013年
针对3D NoC中TSV衬垫面积大,TSV利用率低的特点,采用4个plane router共享一个TSV router的簇式结构,分时共享TSV;针对路由器故障,则提出一种在输入端口和输出端口间建立旁路的机制,当plane router的缓冲区和交叉开关故障时,数据包由旁路转发;对于TSV router故障,则通过增加数据分配器和多路选择器,进行加固;为弱化过热点,提出新的压力弱化模型,根据其自身通信量以及所处的位置,动态调整路由方向。仿真结果表明,与传统XYZ路由相比,无故障时平均网络延时减少21%~27%,有故障时减少20%~39%,吞吐率比minTSV提高约20%。
欧阳一鸣王宇梁华国黄正峰
关键词:TSV
共1页<1>
聚类工具0