您的位置: 专家智库 > >

文献类型

  • 5篇期刊文章
  • 5篇专利

领域

  • 4篇自动化与计算...
  • 3篇电子电信

主题

  • 3篇通信
  • 2篇点对多点
  • 2篇点对多点通信
  • 2篇多通道
  • 2篇数据处理
  • 2篇数据处理装置
  • 2篇芯片
  • 2篇接口
  • 2篇接口装置
  • 2篇纠错
  • 2篇纠错编码
  • 2篇仿真
  • 2篇FIFO芯片
  • 2篇HDLC
  • 2篇大容量
  • 1篇以太
  • 1篇以太网
  • 1篇以太网交换
  • 1篇以太网交换芯...
  • 1篇战术通信

机构

  • 10篇中国电子科技...

作者

  • 10篇孟芳
  • 6篇邱里鑫
  • 5篇贾玉君
  • 4篇刘永恩
  • 4篇陈凤祥
  • 4篇张伟
  • 4篇杨小冬
  • 3篇张文志
  • 2篇王晓苹
  • 2篇李吉良
  • 2篇彭圻平
  • 2篇郭建立
  • 2篇陈剑波
  • 2篇王晓萍
  • 1篇赵海舜
  • 1篇于立佳
  • 1篇胡广文

传媒

  • 2篇无线电通信技...
  • 2篇计算机与网络
  • 1篇电视技术

年份

  • 1篇2020
  • 2篇2016
  • 1篇2015
  • 1篇2014
  • 2篇2012
  • 1篇2010
  • 1篇2009
  • 1篇2004
10 条 记 录,以下是 1-10
排序方式:
基于VPX的自主可控交换板设计被引量:3
2016年
针对当前交换平台的性能和信息安全问题,对VPX总线标准进行了研究,对国产化软硬件的现状进行了调研,并将二者结合,以欧卡6U的标准完成了基于VPX标准的自主可控交换板的设计。本文对主要功能电路进行了详细阐述,对基于国产操作系统的软件系统进行加了介绍说明,最后对板卡功能和性能进行了测试验证。测试结果表明:基于VPX的自主可控交换板具备强大的网络交换能力,并具有很好的通用性和扩展性。该板卡的成功研制为未来高性能自主可控交换平台的发展提供了参考。
邱里鑫孟芳
一种OpenVPX构架
本实用新型公开了一种OpenVPX构架,包括机箱和设置在机箱前部的前面板,在机箱内部设置有业务插板和背板,其特征在于:业务插板配装在与前面板相背一侧的背板上,在与背板相对一侧的前面板上配装有接口汇接板,在接口汇接板与背板...
胡广文孟芳赵海舜
文献传递
大容量点对多点仿真群路接口装置
本发明公开了一种大容量点对多点仿真群路接口装置,它涉及通信网络领域中的点对多点仿真群路接口的处理设备。它由逻辑站号链表、点对多点仿真群路收发模块、点对多点多复帧接收模块、点对多点多复帧发送模块、G.704同步单元等部件组...
刘永恩陈剑波杨小冬王晓苹孟芳陈凤祥彭圻平邱里鑫张伟贾玉君
文献传递
一种基于FPGA和FIFO芯片的多通道HDLC数据处理装置
本发明公开了一种基于FPGA和FIFO芯片的多通道HDLC数据处理装置。该装置采用FPGA片上自带接收RAM和FPGA外挂接收FIFO芯片实现对接收HDLC数据和待发送数据的缓存,接收FIFO控制器通过高速轮询机制将所有...
张文志郭建立李吉良陈凤祥刘永恩王晓萍杨小冬贾玉君张伟孟芳邱里鑫
E1-STM1SDH终端复用器的设计与实现被引量:2
2004年
SDH是二十世纪90年代初出现的新一代传输网体制,SDH终端复用器是该传输体系中不可缺少的网元设备,用以实现低阶信号到高阶信号的复接和分插功能。根据ITU关于SDH技术体制的建议,介绍了一种基于PMC专用系列芯片,实现E1信号到STM1信号转换功能的SDH终端复用器设计方案,并以16路E1为例,详细描述了这种终端复用器的电路设计及其硬件实现过程,同时指出了设计实现时应该注意的问题。
孟芳
关键词:复用器SDH技术STM传输网设计实现
一种基于FPGA和FIFO芯片的多通道HDLC数据处理装置
本发明公开了一种基于FPGA和FIFO芯片的多通道HDLC数据处理装置。该装置采用FPGA片上自带接收RAM和FPGA外挂接收FIFO芯片实现对接收HDLC数据和待发送数据的缓存,接收FIFO控制器通过高速轮询机制将所有...
张文志郭建立李吉良陈凤祥刘永恩王晓萍杨小冬贾玉君张伟孟芳邱里鑫
文献传递
高性能以太网交换芯片BCM56132的研究与应用被引量:2
2012年
随着以太网交换技术的发展和超大规模集成电路技术的进步,以太网交换芯片功能与性能不断提高。详细介绍了以太网交换芯片BCM56132的内部结构、功能特性、访问方式及2,3层交换的数据流程等,并基于该芯片完成了2、3层交换平台设计,同时对软、硬件实现过程进行了描述,最后通过测试验证了设计的正确性。
孟芳邱里鑫
关键词:以太网
基于网络处理器的高速转发模块设计
2009年
随着网络应用业务的不断增长,传统网络业务处理方案已不能满足新一代智能化网络设备设计要求。网络处理器具有强大协议处理能力和灵活可编程性特点,是下一代网络的核心技术之一。在分析研究了NP-2网络处理器的功能特点之后,介绍了一种基于网络处理器的高速转发模块设计方案,包括硬件设计、数据包转发流程,并给出了有关的转发性能测试数据。经测试验证,这种设计方案具有很高的报文处理和转发效率。
贾玉君孟芳
关键词:网络处理器协处理器
基于Nios Ⅱ的SOPC系统设计分析被引量:14
2012年
随着微电子技术和半导体工业的快速发展,数字技术进入片上系统(SOC)时代,为了使SOC技术得到推广,Altera公司提出了片上可编程系统(SOPC)。介绍了基于Nios Ⅱ的SOPC系统设计流程,并采用EP2C35芯片完成模拟用户单元设计,论述了软、硬件设计方案,分析了采用SOPC系统设计的关键技术和优势,最后通过系统测试验证了系统设计的正确性。
孟芳于立佳张文志
关键词:SOPC系统设计
大容量点对多点仿真群路接口装置
本发明公开了一种大容量点对多点仿真群路接口装置,它涉及通信网络领域中的点对多点仿真群路接口的处理设备。它由逻辑站号链表、点对多点仿真群路收发模块、点对多点多复帧接收模块、点对多点多复帧发送模块、G.704同步单元等部件组...
刘永恩陈剑波杨小冬王晓苹孟芳陈凤祥彭圻平邱里鑫张伟贾玉君
文献传递
共1页<1>
聚类工具0