您的位置: 专家智库 > >

何健标

作品数:17 被引量:24H指数:2
供职机构:深圳职业技术学院更多>>
相关领域:自动化与计算机技术电子电信文化科学更多>>

文献类型

  • 9篇期刊文章
  • 7篇专利
  • 1篇学位论文

领域

  • 7篇自动化与计算...
  • 5篇电子电信
  • 2篇文化科学

主题

  • 5篇减法器
  • 4篇FFT处理器
  • 4篇FPGA
  • 3篇点运算
  • 3篇粒度
  • 3篇滤波器
  • 3篇颗粒度
  • 3篇规格化
  • 3篇浮点
  • 3篇浮点运算
  • 2篇蝶形运算
  • 2篇蝶形运算单元
  • 2篇信号
  • 2篇载波
  • 2篇认知无线
  • 2篇认知无线电
  • 2篇设计数据
  • 2篇使用效率
  • 2篇前台
  • 2篇扰码

机构

  • 9篇深圳职业技术...
  • 5篇深圳技师学院
  • 3篇华中科技大学

作者

  • 17篇何健标
  • 5篇马晓明
  • 3篇向潞璐
  • 2篇王宏远
  • 1篇李众奇
  • 1篇郭跃
  • 1篇陈筱倩
  • 1篇林静宜

传媒

  • 3篇计算机与数字...
  • 2篇舰船电子工程
  • 1篇电视技术
  • 1篇电子技术应用
  • 1篇微电子学与计...
  • 1篇深圳职业技术...

年份

  • 2篇2020
  • 1篇2018
  • 2篇2017
  • 4篇2016
  • 1篇2015
  • 2篇2013
  • 2篇2012
  • 1篇2009
  • 1篇2008
  • 1篇2006
17 条 记 录,以下是 1-10
排序方式:
一种FFT处理器
本实用新型公开了一种FFT处理器。其基2蝶式运算单元至少包括:指数对齐电路、运算单元以及规格化电路。所述指数对齐电路将指数对齐后的浮点输入数据的统一的指数部分传输至所述规格化电路;所述运算单元接收指数对齐后的浮点输入数据...
何健标马晓明
文献传递
一种FFT处理器
本发明公开了一种FFT处理器。其基2蝶式运算单元至少包括:指数对齐电路、运算单元以及规格化电路。所述指数对齐电路将指数对齐后的浮点输入数据的统一的指数部分传输至所述规格化电路;所述运算单元接收指数对齐后的浮点输入数据的基...
何健标马晓明
一种WCDMA网络的扰码优化方法
本发明提供一种WCDMA网络的扰码优化方法,包括以下步骤:步骤s01:选定一条待优化的同扰码邻区链;计算在该同扰码邻区链中,首小区与尾小区发生扰码冲突的概率P(A);步骤s02:若P(A)>0,对首小区的原扰码进行优化:...
何健标
文献传递
一种FFT处理器
本发明公开了一种FFT处理器。其基2蝶式运算单元至少包括:指数对齐电路、运算单元以及规格化电路。所述指数对齐电路将指数对齐后的浮点输入数据的统一的指数部分传输至所述规格化电路;所述运算单元接收指数对齐后的浮点输入数据的基...
何健标马晓明
文献传递
数字电视MC-QAM调制器的算法与实现研究
本文通过对多载波QAM(Quadrature Amplitude Modulation)调制技术的应用背景和主要特点的分析,给出了基于正交混频的多载波调制模型,在全面深入数字电视QAM调制器的研究现状和已有成果的基础上,...
何健标
关键词:邻道干扰码间串扰通用异步收发器
文献传递
基于最佳接收的UART的设计与实现被引量:7
2006年
针对通用异步接收和发送器UART对随机脉冲干扰特别敏感的弱点,引入数字相关器,以实现信号的最佳接收及提高UART的抗干扰性能;结合现场可编程逻辑门阵列FPGA的结构特点针对同步模块和数字相关器等关键部件进行逻辑设计优化,使UART性能大为提高。
何健标王宏远林静宜李众奇
关键词:UART数字相关器FPGA
认知无线电的功率估算策略
2012年
认知无线电用户在通信前需要通过周围电磁场的参数估算自身的最大通信功率以免影响原授权用户的正常通信,文章提出了一种基于节点协作来进行发射功率估算的策略,不但可以无需知道授权用户的发射功率,而且可以有效的预测出处于深度对数正态阴影衰落中的节点并进行有效补偿。通过对各种经典应用场景的仿真证明,与目前流行的单点估算算法相比,文中算法能获得更优的通信功率。
何健标向潞璐
关键词:认知无线电
认知无线电快速时域能量检测方法
2016年
提出一种快速时域检测方法,该方法基于下变频器和低通滤波器的并行检测结构,通过共享多相滤波器组,以及采用快速反傅里叶变换模块替换下变频器的方法,实现并行检测的快速计算.通过仿真实验对比,证明本算法在检测误差、计算成本等方面比传统的时域或频域能量检测方法性能更好.
何健标
关键词:认知无线电频谱感知
一种反馈装置及FFT/IFFT处理器
本发明公开了一种反馈装置及FFT/IFFT处理器,所述装置包括基4级联运算模块;所述基4级联运算模块包括:旋转因子产生单元、复数乘法器、延迟交换单元、蝶形运算单元以及输出交换单元,所述延迟交换单元分别连接蝶形运算单元、复...
何健标马晓明
文献传递
一种基于FPGA的FIR滤波器实现结构被引量:12
2008年
提出了一种在FPGA中能灵活实现各种FIR滤波器的结构。该结构以使用流水线技术的高速乘法累加器(Multiple Accumulator,MAC)为核心,通过逻辑设计中时间-空间的互换,以最优的资源消耗来实现各种性能的FIR滤波器.最后以DVB-C系统中基带成形滤波器的设计实现为例与传统实现结构进行比较,结果表明此实现结构能灵活处理综合面积和速度的约束关系,具有更优的性价比.
何健标王宏远郭跃陈筱倩
关键词:FIR滤波器FPGA基带成形
共2页<12>
聚类工具0